her.esy.fun/engine/build.sh
Yann Esposito (Yogsototh) 11fce6a2b1
Fix engine + wip
2021-08-21 18:04:11 +02:00

6 lines
124 B
Bash
Executable file

#!/bin/zsh
cd "$(git rev-parse --show-toplevel)" || exit 1
source ./engine/envvars.sh
make -j $(getconf _NPROCESSORS_ONLN)